生产链遇到大瓶颈,CoWoS 先进封装产能供不应求

来源: 芯闻路1号 作者:玉衡 2023-09-25 10:01:34

9 月 25 日消息,随着NVIDIA AI 芯片的需求火热,代工厂台积电也一路加大产能。

 

据《经济日报》报道,台积电 CoWoS(Chip-on-Wafer-on-Substrate)先进封装产能爆满,积极扩产之际,传出大客户NVIDIA 扩大 AI 芯片下单量,加上 AMD、亚马逊等大厂急单涌现,台积电为此急找设备供应商增购 CoWoS 设备,在既有的增产目标之外,设备订单量再追加三成

 

报道称,台积电这次寻求辛耘、万润、弘塑、钛升、群翊等设备厂协助,要求扩大增援 CoWoS 设备,预计明年上半年完成交机及装机,相关设备厂忙翻天,不仅先前已拿下台积电原订扩产目标机台订单,如今再获追单三成,下半年营收将显著增长,更带动相关设备厂在手订单能见度直达明年上半年。

 

业界人士透露,台积电目前 CoWoS 先进封装月产能约 1.2 万片,先前启动扩产后,原订将月产能逐步扩充到 1.5 万至 2 万片,如今再追加设备进驻,将使得月产能可达 2.5 万片以上、甚至朝 3 万片靠拢,使得台积电承接 AI 相关订单能量大增。

 

遭爆料的设备厂均不对订单动态置评。知情人士透露,随着 AI 运算应用大幅开展,包括协助机器自主学习、训练大型语言模型(LLM)和 AI 推论等,并在自动驾驶汽车及智慧工厂等领域落地,AI 芯片需求将维持强劲增长。

 

报道还称,NVIDIA 、AMD 等大客户已在第三季度增加对晶圆代工厂投片量,有效推升台积电 7nm 及 5nm 先进制程产能利用率,但 CoWoS 先进封装产能供不应求,已成为生产链最大瓶颈

 

台积电总裁魏哲家日前曾在法说会提到,台积电已积极扩充 CoWoS 先进封装产能,希望 2024 年下半年后可舒缓产能吃紧压力。据了解,台积电已在竹科、中科、南科、龙潭等地挤出厂房空间增充 CoWoS 产能,竹南封测厂也将同步建设 CoWoS 及 TSMC SoIC 等先进封装生产线。

 

业界消息指出,台积电第二季度开始启动 CoWoS 先进封装大扩产计划,5 月对设备协力厂展开第一批下单采购,该批设备预期会在明年第一季度末全部到位并装机完成,届时 CoWoS 先进封装月产能可增为 1.5 万至 2 万片。即便台积电已大力扩增 CoWoS 产能,但客户端需求爆发,使得台积电日前再对设备协力厂追加订单。

 

设备从业者指出,英伟达是目前台积电 CoWoS 先进封装最大客户,订单量占产能六成,近期因 AI 运算强劲需求,英伟达扩大下单,而且 AMD、亚马逊、博通等客户急单也开始涌现。考虑到客户对 CoWoS 先进封装产能需求急切,台积电日前再度对设备厂追单三成,并要求在明年第二季度底前完成交机及装机,明年下半年开始进入量产。

 

芯闻路1号解析:

 

台积电封装主要重心在扇出型封装InFO(Integrated Fan Out,整合扇出型封装)、2.5D封装CoWoS(Chip-on-Wafer-on-Substrate,基板上晶圆上芯片封装)和3D封装SoIC(System-on-Integrated-Chips,集成芯片系统)。

 

其中本文提到的CoWoS(全称Chip on Wafer on Substrate,)是一项2.5D封装技术,采用的是无源转接板。

 

COW:将计算核心、I/O die、HBM等芯片封装在Interposer上,即为CoWoS(chiponwaferonsubstrate)的chiponwafer环节。

 

OS:完成CoW封装的整个系统在封装基板上封装,这一步即CoWoS的onsubstrate环节,其封装方式与传统的FCBGA的后段封装基本类似。

 

其主要工艺特点包括:通过微凸点将多颗芯片并排键合至硅基无源转接板晶圆上,形成芯片至晶圆(Chip on Wafer, CoW)装配体;减薄晶圆背面以露出TSV;制备可控塌陷芯片连接(C4)凸点;④切割晶圆并将切好的晶圆倒装焊至封装基板(Substrate)上,形成最终的CoWoS封装。

0
收藏
0